[gmx-users] time constant in V-rescale algorithm

xiaojing gong xnzgxj at gmail.com
Tue Sep 11 06:04:30 CEST 2012


Dear all,

        When use the   V-rescale algorithm , how to choose the time
constant value, I saw some choose 0, some choose 0.1 ps.
        Are there some standard for choosing the time constant?

Best
YLK



More information about the gromacs.org_gmx-users mailing list